Bardzo często w VHDL zauważam, że pewien komponent ma wiele portów wyjściowych. To znaczy, w jednym z naszych przykładach miały następujące składnik:Jak ignorować porty wyjściowe z mapami portów
COMPONENT eight_bitadder
PORT (a, b: in std_logic_vector(7 downto 0);
f: in std_logic;
C: out std_logic_vector(7 downto 0);
o, z: out std_logic);
END COMPONENT;
gdzie Z określa, czy wynikiem jest 0 i O wyzwalacze przepełnienia.
Teraz w moim przypadku chcę użyć tego sumatora, jednak rzeczywisty wynik nie ma znaczenia, a ja chcę tylko sprawdzić, czy wynik jest "0". Mógłbym oczywiście dodać fałszywy sygnał i zapisać port do tego sygnału, jednak wydaje się to niepotrzebnie skomplikowane i może dodać dodatkowe składniki podczas syntezy?
Tak otwarte jest specjalne słowo kluczowe - czy można z niego korzystać również w składni, w której nie podaje się jawnie nazw portów i nie używa się tej kolejności? (po prostu prośba o weryfikację/jasność)? – paul23
Tak, "otwarte" jest zarezerwowane w VHDL. Szczerze mówiąc, nigdy tego nie próbowałem, dajcie temu szansę i dajcie znać, jak to działa. Zawsze jawnie deklaruję mapowanie portów. – Russell
dobrze przetestowałem to i tak, mogłem skompilować i zsyntetyzować to, nawet z nie jawnym nazewnictwem. Dzięki jeszcze raz. – paul23